CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA rom

搜索资源列表

  1. SIN_fashengqi

    0下载:
  2. 2006altera大赛-基于软核Nios的宽谱正弦信号发生器设计:摘要:本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA公司的 Cyclone 系列 FPGA 为数字平台,将微处理器、总线、数字频率合成器、存储器和 I/O 接口等硬件设备集中在一片 FPGA 上,利用直接数字频率合成技术、数字调制技术实现所要求波形的产生,用 FPGA 中的 ROM 储存 DDS 所需的波形表,充分利用片上资源,提高了系统的精确度、稳定性和抗干扰性能。使用新的数字信号处理(
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:407706
    • 提供者:刘斐
  1. rom

    0下载:
  2. 我用VHDL写的正弦,用FPGA内部ROM,有仿真testbench,在quartus里可以运行。在板子里已经验证
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:651381
    • 提供者:jimmy
  1. VGA图像显示

    0下载:
  2. 该项目能将RAM或ROM存储器中储存的十六进制数据显示在VGA显示器上,使用VerilogHDL]语言,在Altera的QuartusII下编译通过。
  3. 所属分类:图片显示浏览

    • 发布日期:2009-02-05
    • 文件大小:18145
    • 提供者:submars
  1. FPGA控制VGA显示(Verilog)

    5下载:
  2. 用FPGA开发板控制VGA显示,以800*600的分辨率,首先在屏幕的正中央依次出现“新”“年”“快”“乐”四个汉字,并分别移动到屏幕的四个角落,接着在屏幕中部从左至右依次出现“Happy New Year”英文字样,然后出现三个由小到大再消失的圆形图标模拟烟花,最后在黑屏中闪烁金星。字体均采用不同颜色,增添喜庆气氛。 本代码是练习VGA控制,ROM调用,时序控制及状态机运用的一个综合实例!
  3. 所属分类:VHDL编程

  1. Hex 转 Coe 档的源程序

    0下载:
  2. Hex 转 Coe 档的源程序,提供 FPGA 内使用 ROM 内将 Hex 档转成 FPGA 的 ROM 使用之 COE 档案,内附 VC6 工程及源代码.
  3. 所属分类:VHDL编程

    • 发布日期:2011-06-27
    • 文件大小:33540
    • 提供者:xyz543
  1. DDSsinROMsample.rar

    0下载:
  2. fpga DDS ROM数据正弦波形正半周采样程序,fpga DDS ROM sinusoidal waveform is a half weeks of data sampling procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:747066
    • 提供者:caixiang
  1. rom.rar

    0下载:
  2. 使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上用硬件描述语言实现一个ROM存储器。,The use of Altera' s FPGA-VHDL development. Use quartus2 9.0 software EP1C3T144C8 development board with hardware descr iption language to achieve a ROM memory.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:179606
    • 提供者:Daisy
  1. vga256

    0下载:
  2. 基于FPGA的VGA显示,256色显示,学会使用FPGA的ROM设计方法-FPGA-based VGA display, 256 color display, learn to use FPGA-ROM Design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:430651
    • 提供者:huyongmeng
  1. FPGA-VHDL-DDS

    0下载:
  2. 基于FPGA的DDS波形发生器--程序,如果需要产生输出不同的位数的波形,可以自行修改程序中的rom表中数据位数-FPGA-based waveform generator DDS- procedure, if the number of bits required to generate output of different waveforms in the program can modify data in the table the median rom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1256292
    • 提供者:许聪
  1. FPGA_Examples

    0下载:
  2. 《FPGA嵌入式应用系统开发典型实例》-书的光盘资料,该资料是用VHDL语言编写,作者:叶淦华-" FPGA embedded applications typical example of system development" - the book' s CD-ROM, the information is written in VHDL, the author:叶淦China
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8141199
    • 提供者:LDP
  1. 2138

    0下载:
  2. 步进电机驱动器两相细分步进电机 fpga+rom-Stepper motor drive sub-two-phase stepper motors fpga+ rom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:138915
    • 提供者:pigeoon
  1. ROM

    0下载:
  2. FPGA ROM利用FPGA实现的ROM只能认为器件处于用户状态时具备ROM功能。使用时不必要刻意划分,而ROM单元的初始化则是设计人员必须面对的问题。-FPGA ROM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:3305
    • 提供者:niuren
  1. ROM

    0下载:
  2. FPGA内部储存,源代码。 FPGA内部储存,源代码。-FPGA
  3. 所属分类:Database system

    • 发布日期:2017-04-09
    • 文件大小:1315818
    • 提供者:tandongfei
  1. FPGA-Prototyping-by-VHDL-Examples---Xilinx-Sparta

    0下载:
  2. FPGA prototyping by VHDL examples include FIFO,RAM,ROM,filters, registers and others-FPGA prototyping by VHDL examples include FIFO,RAM,ROM,filters, registers and others
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16619694
    • 提供者:Aleks
  1. rom--mif

    0下载:
  2. 生成fpga的rom查找表的c语言和matlab程序还有一个生成正弦和余弦mif文件的生成器-fpga rom lut
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:134413
    • 提供者:liuheshan
  1. rom-test

    0下载:
  2. 简单的FPGA中ROM使用仿真程序,使用的verilog语言-Simple FPGA ROM emulator, using the verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9722266
    • 提供者:blue
  1. 10_rom_test

    0下载:
  2. rom ip核的配置,以及测试文件,适合初学者使用。(ROM IP core configuration, as well as test files, suitable for beginners to use.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:4237312
    • 提供者:声声不洗
  1. 10_rom_test

    0下载:
  2. 讲解赛灵思Spartant_6系列FPGA的ROM IP核的调试过程,供大家参考学习(Explain Xilinx Spartant_6 Series FPGA ROM IP core debugging process, for your reference learning)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-18
    • 文件大小:4235264
    • 提供者:棋墨黑白
  1. try4

    0下载:
  2. 利用mif文件生成ROM/RAM,并附带例化程序和测试文件(Using MIF files to generate ROM/RAM with example programs and test files)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:3265536
    • 提供者:imdouniwan
  1. 数字滤波器的MATLAB与FPGA实现例程代码567

    2下载:
  2. 数字滤波器的MATLAB与FPGA实现——杜勇(配套光盘) 程序源码,配合电子书使用可以很好的学习数字滤波器的MATLAB与FPGA实现,完整代码,仿真良好,第5、6、7章((MATLAB and FPGA implementation of digital filter -- Du Yong (supporting CD-ROM) program source code, can learn matlab and FPGA implementation of digital filter we
  3. 所属分类:matlab例程

    • 发布日期:2020-08-27
    • 文件大小:28524544
    • 提供者:wanmei10
« 12 3 4 5 6 7 8 »
搜珍网 www.dssz.com